SADP

Vinnova supports AlixLabs AB for feasibility study within the new electronic components and systems program in areas of Swedish excellence

Retrieved on: 
Monday, December 12, 2022

The method makes the components cheaper and less resource-intensive to manufacture and can open a new path for a more sustainable mass production of electronic products.

Key Points: 
  • The method makes the components cheaper and less resource-intensive to manufacture and can open a new path for a more sustainable mass production of electronic products.
  • The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently with manageable wafer fab equipment investments.
  • The project was rewarded 500,000 SEK within the call for Electronic Components and Systems: Feasibility studies 2022.
  • This call was aimed at feasibility studies for major research and innovation projects that are expected to result in new electronic components and systems in areas of Swedish excellence.

Vinnova supports AlixLabs AB for feasibility study within the new electronic components and systems program in areas of Swedish excellence

Retrieved on: 
Monday, December 12, 2022

The method makes the components cheaper and less resource-intensive to manufacture and can open a new path for a more sustainable mass production of electronic products.

Key Points: 
  • The method makes the components cheaper and less resource-intensive to manufacture and can open a new path for a more sustainable mass production of electronic products.
  • The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently with manageable wafer fab equipment investments.
  • The project was rewarded 500,000 SEK within the call for Electronic Components and Systems: Feasibility studies 2022.
  • This call was aimed at feasibility studies for major research and innovation projects that are expected to result in new electronic components and systems in areas of Swedish excellence.

AlixLabs AB demonstrates pitch splitting in bulk silicon for leading edge semiconductor manufacturing

Retrieved on: 
Wednesday, November 2, 2022

LUND, Sweden, Nov. 2, 2022 /PRNewswire/ -- AlixLabs from Lund, Sweden, demonstrates pitch splitting in bulk silicon using their proprietary patterning technology for semiconductor device manufacturing.

Key Points: 
  • LUND, Sweden, Nov. 2, 2022 /PRNewswire/ -- AlixLabs from Lund, Sweden, demonstrates pitch splitting in bulk silicon using their proprietary patterning technology for semiconductor device manufacturing.
  • The company has developed a new, innovative method for manufacturing semiconductor components with a high degree of packing, eliminating several steps in the semiconductor manufacturing process - Atomic Layer Etch Pitch Splitting (APS)*.
  • The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently with manageable wafer fab equipment investments.
  • Demonstrating pitch splitting in bulk silicon by creating sub-10 nm structures has been a major milestone for AlixLabs.

AlixLabs AB demonstrates pitch splitting in bulk silicon for leading edge semiconductor manufacturing

Retrieved on: 
Wednesday, November 2, 2022

LUND, Sweden, Nov. 2, 2022 /PRNewswire/ -- AlixLabs from Lund, Sweden, demonstrates pitch splitting in bulk silicon using their proprietary patterning technology for semiconductor device manufacturing.

Key Points: 
  • LUND, Sweden, Nov. 2, 2022 /PRNewswire/ -- AlixLabs from Lund, Sweden, demonstrates pitch splitting in bulk silicon using their proprietary patterning technology for semiconductor device manufacturing.
  • The company has developed a new, innovative method for manufacturing semiconductor components with a high degree of packing, eliminating several steps in the semiconductor manufacturing process - Atomic Layer Etch Pitch Splitting (APS)*.
  • The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently with manageable wafer fab equipment investments.
  • Demonstrating pitch splitting in bulk silicon by creating sub-10 nm structures has been a major milestone for AlixLabs.

AlixLabs AB announce that the company will be granted their first European patent relating to Atomic Layer Etch Pitch Splitting (APS) for semiconductor manufacturing

Retrieved on: 
Friday, October 21, 2022

The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently with manageable wafer fab equipment investments.

Key Points: 
  • The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently with manageable wafer fab equipment investments.
  • The company is now pleased to announce that the European Patent Office (EPO) has issued a notice of Intention to Grant their first European Patent.
  • As previously reported (April 30, 2021), The US Patent Office has approved AlixLabs's patent application for nanofabrication by ALE Pitch Splitting (APS).
  • Jonas Sundqvist, CEO of AlixLabs, phone +46 767 63 94 67, email [email protected]
    The following files are available for download:

AlixLabs AB announce that the company will be granted their first European patent relating to Atomic Layer Etch Pitch Splitting (APS) for semiconductor manufacturing

Retrieved on: 
Friday, October 21, 2022

The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently with manageable wafer fab equipment investments.

Key Points: 
  • The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently with manageable wafer fab equipment investments.
  • The company is now pleased to announce that the European Patent Office (EPO) has issued a notice of Intention to Grant their first European Patent.
  • As previously reported (April 30, 2021), The US Patent Office has approved AlixLabs's patent application for nanofabrication by ALE Pitch Splitting (APS).
  • Jonas Sundqvist, CEO of AlixLabs, phone +46 767 63 94 67, email [email protected]
    The following files are available for download:

AlixLabs AB today announced that the company has been granted a second patent in the USA relating to Atomic Layer Etch Pitch Splitting (APS) for semiconductor manufacturing

Retrieved on: 
Tuesday, September 13, 2022

The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently with manageable wafer fab equipment investments.

Key Points: 
  • The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently with manageable wafer fab equipment investments.
  • The company is now pleased to announce the first granted a second patent in the USA.
  • As previously reported (April 30, 2021), The US Patent Office has approved AlixLabs's patent application for nanofabrication by ALE Pitch Splitting (APS).
  • The US Patent Office has issued a patent (US10930515) on February 23, 2021 and now the second patnet (US11424130) on August 23, 2022.

AlixLabs AB today announced that the company has been granted a second patent in the USA relating to Atomic Layer Etch Pitch Splitting (APS) for semiconductor manufacturing

Retrieved on: 
Tuesday, September 13, 2022

The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently with manageable wafer fab equipment investments.

Key Points: 
  • The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently with manageable wafer fab equipment investments.
  • The company is now pleased to announce the first granted a second patent in the USA.
  • As previously reported (April 30, 2021), The US Patent Office has approved AlixLabs's patent application for nanofabrication by ALE Pitch Splitting (APS).
  • The US Patent Office has issued a patent (US10930515) on February 23, 2021 and now the second patnet (US11424130) on August 23, 2022.

AlixLabs AB today announced completing clean room hook up of R&D equipment for Atomic Layer Etch Pitch Splitting (APS)

Retrieved on: 
Thursday, June 16, 2022

AlixLabs is now pleased to announce the completed hook-up of Atomic Layer Etch (ALE) equipment in its clean room at ProNano RISE in Lund, Sweden.

Key Points: 
  • AlixLabs is now pleased to announce the completed hook-up of Atomic Layer Etch (ALE) equipment in its clean room at ProNano RISE in Lund, Sweden.
  • The equipment is the original ICP Reactive Etch tool from Oxford Instruments (UK), a Plasmalab 100 used during the original discovery of the APS method.
  • "The next opportunity to meet AlixLabs will be at The AVS 22nd International Conference on Atomic Layer Deposition (ALD 2022), featuring the 9th International Atomic Layer Etching Workshop (ALE 2022).
  • The patent covers methods to split nanostructures in half by a single process step using Atomic Layer Etching (ALE).

AlixLabs AB today announced completing clean room hook up of R&D equipment for Atomic Layer Etch Pitch Splitting (APS)

Retrieved on: 
Thursday, June 16, 2022

AlixLabs is now pleased to announce the completed hook-up of Atomic Layer Etch (ALE) equipment in its clean room at ProNano RISE in Lund, Sweden.

Key Points: 
  • AlixLabs is now pleased to announce the completed hook-up of Atomic Layer Etch (ALE) equipment in its clean room at ProNano RISE in Lund, Sweden.
  • The equipment is the original ICP Reactive Etch tool from Oxford Instruments (UK), a Plasmalab 100 used during the original discovery of the APS method.
  • "The next opportunity to meet AlixLabs will be at The AVS 22nd International Conference on Atomic Layer Deposition (ALD 2022), featuring the 9th International Atomic Layer Etching Workshop (ALE 2022).
  • The patent covers methods to split nanostructures in half by a single process step using Atomic Layer Etching (ALE).