Formality

GF and Synopsys Deliver New Reference Flows for GF 22FDX Process: Cloud-Qualified and First Automotive Flow for ASIL-D Designs

Retrieved on: 
Wednesday, September 15, 2021

The automotive reference flow enabling ASIL-D designs on GF's 22FDX process provides designers with a comprehensive solution for functional safety analysis, implementation and verification of ADAS, powertrain, 5G and radar systems.

Key Points: 
  • The automotive reference flow enabling ASIL-D designs on GF's 22FDX process provides designers with a comprehensive solution for functional safety analysis, implementation and verification of ADAS, powertrain, 5G and radar systems.
  • The flow utilizes the Synopsys RTL-to-GDSII native functional safety design implementation solution and DesignWare ARC Processor IP.
  • Avidan also said, "As for automotive designs, the longstanding collaboration between Synopsys and GF has delivered the platform and IP needed for seamless adoption of the 22FDX process for automotive designs.
  • Deploying the industry's first automotive reference flow for 22FDX enables designers to accelerate time to silicon success and meet their stringent ASIL and reliability targets."